Seguir
Danil Sokolov
Danil Sokolov
Member of Technical Staff at Renesas Electronics
Nenhum e-mail foi confirmado - Página inicial
Título
Citado por
Citado por
Ano
Design and analysis of dual-rail circuits for security applications
D Sokolov, J Murphy, A Bystrov, A Yakovlev
IEEE Transactions on Computers 54 (4), 449-460, 2005
2182005
Improving the security of dual-rail circuits
D Sokolov, J Murphy, A Bystrov, A Yakovlev
Cryptographic Hardware and Embedded Systems-CHES 2004: 6th International …, 2004
1032004
Energy-efficient approximate multiplier design using bit significance-driven logic compression
I Qiqieh, R Shafik, G Tarawneh, D Sokolov, A Yakovlev
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 7-12, 2017
962017
Cost-aware synthesis of asynchronous circuits based on partial acknowledgement
Y Zhou, D Sokolov, A Yakovlev
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
512006
Automated verification of asynchronous circuits using circuit Petri nets
I Poliakov, A Mokhov, A Rafiev, D Sokolov, A Yakovlev
2008 14th IEEE International Symposium on Asynchronous Circuits and Systems …, 2008
472008
Workcraft: a static data flow structure editing, visualisation and analysis tool
I Poliakov, D Sokolov, A Mokhov
Petri Nets and Other Models of Concurrency–ICATPN 2007: 28th International …, 2007
462007
High-security asynchronous circuit implementation of AES
D Shang, F Burns, A Bystrov, A Koelmans, D Sokolov, A Yakovlev
IEE Proceedings-Computers and Digital Techniques, 2006
382006
Significance-driven logic compression for energy-efficient multiplier design
I Qiqieh, R Shafik, G Tarawneh, D Sokolov, S Das, A Yakovlev
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (3 …, 2018
342018
Clockless circuits and system synthesis
D Sokolov, A Yakovlev
IEE Proceedings-Computers and Digital Techniques 152 (3), 298-316, 2005
342005
Automated synthesis of asynchronous circuits using direct mapping for control and data paths
D Sokolov
University of Newcastle upon Tyne, 2006
312006
Direct mapping of low-latency asynchronous controllers from STGs
D Sokolov, A Bystrov, A Yakovlev
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
262007
A CMOS-based neural implantable optrode for optogenetic stimulation and electrical recording
H Zhao, F Dehkhoda, R Ramezani, D Sokolov, P Degenaar, Y Liu, ...
2015 IEEE Biomedical Circuits and Systems Conference (BioCAS), 1-4, 2015
252015
Synthesis of processor instruction sets from high-level ISA specifications
A Mokhov, A Iliasov, D Sokolov, M Rykunov, A Yakovlev, A Romanovsky
IEEE Transactions on Computers 63 (6), 1552-1566, 2013
252013
Design of processors with reconfigurable microarchitecture
A Mokhov, M Rykunov, D Sokolov, A Yakovlev
Journal of Low Power Electronics and Applications 4 (1), 26-43, 2014
242014
Towards asynchronous power management
D Sokolov, A Mokhov, A Yakovlev, D Lloyd
2014 IEEE Faible Tension Faible Consommation, 1-4, 2014
222014
Balancing power signature in secure systems
A Bystrov, D Sokolov, A Yakovlev, A Koelmans
Proc. 14th UK Asynchronous Forum 2003, 2003
222003
GALS synthesis and verification for xMAS models
F Burns, D Sokolov, A Yakovlev
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
212015
Workcraft: Ten years later
D Sokolov, V Khomenko, A Mokhov
This asynchronous world. Essays dedicated to Alex Yakovlev on the occasion …, 2016
202016
Design and verification of speed-independent multiphase buck controller
D Sokolov, V Khomenko, A Mokhov, A Yakovlev, D Lloyd
2015 21st IEEE International Symposium on Asynchronous Circuits and Systems …, 2015
202015
Automating the design of asynchronous logic control for AMS electronics
D Sokolov, V Khomenko, A Mokhov, V Dubikhin, D Lloyd, A Yakovlev
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
192019
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20