Follow
Po-Tsang Huang
Po-Tsang Huang
National Yang Ming Chiao Tung University
Verified email at nycu.edu.tw
Title
Cited by
Cited by
Year
Monolithic 3D BEOL FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators
PY Hsieh, YJ Chang, PJ Chen, CL Chen, CC Yang, PT Huang, YJ Chen, ...
2019 IEEE International Electron Devices Meeting (IEDM), 3.1. 1-3.1. 4, 2019
1262019
A 65 nm 0.165 fJ/Bit/Search 256144 TCAM Macro Design for IPv6 Lookup Tables
PT Huang, W Hwang
IEEE Journal of Solid-State Circuits 46 (2), 507-519, 2010
1072010
The smem seeding acceleration for dna sequence alignment
MCF Chang, YT Chen, J Cong, PT Huang, CL Kuo, CH Yu
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom …, 2016
602016
A 16-Gb/s 14.7-mW tri-band cognitive serial link transmitter with forwarded clock to enable PAM-16/256-QAM and channel response detection
Y Du, WH Cho, PT Huang, Y Li, CH Wong, J Du, Y Kim, B Hu, L Du, C Liu, ...
IEEE Journal of Solid-State Circuits 52 (4), 1111-1122, 2016
482016
Low power and reliable interconnection with self-corrected green coding scheme for network-on-chip
PT Huang, WL Fang, YL Wang, W Hwang
Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), 77-83, 2008
422008
A 5.4-mW 4-Gb/s 5-band QPSK transceiver for frequency-division multiplexing memory interface
WH Cho, Y Li, Y Kim, PT Huang, Y Du, SJ Lee, MCF Chang
2015 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2015
332015
Location-controlled-grain technique for monolithic 3D BEOL FinFET circuits
CC Yang, TY Hsieh, PT Huang, KN Chen, WC Wu, SW Chen, CH Chang, ...
2018 IEEE International Electron Devices Meeting (IEDM), 11.3. 1-11.3. 4, 2018
272018
A 0.5-V 28-nm 256-kb mini-array based 6T SRAM with Vtrip-tracking write-assist
SL Wu, KY Li, PT Huang, W Hwang, MH Tu, SC Lung, WS Peng, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (7), 1791-1802, 2017
262017
Design and implementation for deep learning based adjustable beamforming training for millimeter wave communication systems
LH Shen, TW Chang, KT Feng, PT Huang
IEEE Transactions on Vehicular Technology 70 (3), 2413-2427, 2021
252021
10.2 A 38mW 40Gb/s 4-lane tri-band PAM-4/16-QAM transceiver in 28nm CMOS for high-speed Memory interface
WH Cho, Y Li, Y Du, CH Wong, J Du, PT Huang, SJ Lee, HN Chen, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 184-185, 2016
252016
2-level FIFO architecture design for switch fabrics in network-on-chip
PT Huang, W Hwang
2006 IEEE International Symposium on Circuits and Systems (ISCAS), 4 pp.-4866, 2006
252006
A 256× 128 energy-efficient TCAM with novel low power schemes
PT Huang, SW Chang, WY Liu, W Hwang
2007 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 1-4, 2007
242007
Ultrahigh-density 256-channel neural sensing microsystem using TSV-embedded neural probes
YC Huang, PT Huang, SL Wu, YC Hu, YH You, JM Chen, YY Huang, ...
IEEE transactions on biomedical circuits and systems 11 (5), 1013-1025, 2017
222017
3D on-demand flying mobile communication for millimeter-wave heterogeneous networks
KT Feng, LH Shen, CY Li, PT Huang, SH Wu, LC Wang, YB Lin, ...
IEEE Network 34 (5), 198-204, 2020
192020
An advanced 2.5-D heterogeneous integration packaging for high-density neural sensing microsystem
YC Hu, YC Huang, PT Huang, SL Wu, HC Chang, YT Yang, YH You, ...
IEEE Transactions on Electron Devices 64 (4), 1666-1673, 2017
182017
A 65nm low power 2T1D embedded DRAM with leakage current reduction
MT Chang, PT Huang, W Hwang
2007 IEEE International SOC Conference, 207-210, 2007
182007
Carrier synchronisation for multiband RF interconnect (MRFI) to facilitate chip‐to‐chip wireline communication
Y Li, WH Cho, Y Du, J Du, PT Huang, SJ Lee, MCF Chang
Electronics Letters 52 (7), 535-537, 2016
172016
Interfacial layer engineering to enhance noise immunity of fefets for imc applications
Y Raffel, S Thunder, M Lederer, R Olivo, R Hoffmann, L Pirro, S Beyer, ...
2022 International Conference on IC Design and Technology (ICICDT), 8-11, 2022
162022
An adaptive congestion-aware routing algorithm for mesh network-on-chip platform
PT Huang, W Hwang
2009 IEEE International SOC Conference (SOCC), 375-378, 2009
162009
Smem++: A pipelined and time-multiplexed smem seeding accelerator for genome sequencing
J Cong, L Guo, PT Huang, P Wei, T Yu
2018 28th International Conference on Field Programmable Logic and …, 2018
142018
The system can't perform the operation now. Try again later.
Articles 1–20