Follow
Xiaolong Guo
Title
Cited by
Cited by
Year
Hardware trojan detection through chip-free electromagnetic side-channel statistical analysis
J He, Y Zhao, X Guo, Y Jin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (10 …, 2017
1672017
Pre-silicon security verification and validation: A formal perspective
X Guo, RG Dutta, Y Jin, F Farahmandi, P Mishra
Proceedings of the 52nd annual design automation conference, 1-6, 2015
912015
Scalable SoC trust verification using integrated theorem proving and model checking
X Guo, RG Dutta, P Mishra, Y Jin
2016 IEEE International Symposium on Hardware Oriented Security and Trust …, 2016
572016
Estimation of safe sensor measurements of autonomous system under attack
RG Dutta, X Guo, T Zhang, K Kwiat, C Kamhoua, L Njilla, Y Jin
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
452017
QIF-Verilog: Quantitative Information-Flow based Hardware Description Languages for Pre-Silicon Security Assessment
X Guo, RG Dutta, J He, MM Tehranipoor, Y Jin
2019 IEEE International Symposium on Hardware Oriented Security and Trust …, 2019
432019
Data Secrecy Protection through Information FlowTracking in Proof-Carrying Hardware IP Part I: Framework Fundamentals
J Yier, G Xiaolong, D Raj Gautam, B Mohammad-Mahdi, M Yiorgos
IEEE Transactions on Information Forensics and Security (TIFS), 2017
362017
When Capacitors Attack: Formal Method Driven Design and Detection of Charge-Domain Trojans
X Guo, H Zhu, Y Jin, X Zhang
2019 Design, Automation Test in Europe Conference Exhibition (DATE), 1727-1732, 2019
322019
Data Secrecy Protection through Information FlowTracking in Proof-Carrying Hardware IP Part II: Framework Automation
B Mohammad-Mahdi, G Xiaolong, D Raj Gautam, J Yier, M Yiorgos
IEEE Transactions on Information Forensics and Security (TIFS), 2017
27*2017
Eliminating the hardware-software boundary: A proof-carrying approach for trust evaluation on computer systems
X Guo, RG Dutta, Y Jin
IEEE Transactions on Information Forensics and Security 12 (2), 405-417, 2016
252016
Automatic code converter enhanced PCH framework for SoC trust verification
X Guo, RG Dutta, P Mishra, Y Jin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (12 …, 2017
172017
Design for EM Side-Channel Security through Quantitative Assessment of RTL Implementations
J He, H Ma, X Guo, Y Zhao, Y Jin
25th Asia and South Pacific Design Automation Conference (ASP-DAC) 2020, 2020
162020
Runtime trust evaluation and hardware trojan detection using on-chip em sensors
J He, X Guo, H Ma, Y Liu, Y Zhao, Y Jin
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
152020
Quantifying trust in autonomous system under uncertainties
RG Dutta, X Guo, Y Jin
2016 29th IEEE International System-on-Chip Conference (SOCC), 362-367, 2016
142016
Quantifying Trust in Autonomous System Under Uncertainties
RG Dutta, X Guo, Y Jin
IEEE SoC (System-on-Chip) Conference (SOCC), 2016
142016
Quantifying rowhammer vulnerability for dram security
Y Jiang, H Zhu, D Sullivan, X Guo, X Zhang, Y Jin
2021 58th ACM/IEEE Design Automation Conference (DAC), 73-78, 2021
132021
PowerScout: A security-oriented power delivery network modeling framework for cross-domain side-channel analysis
H Zhu, X Guo, Y Jin, X Zhang
2020 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), 1-6, 2020
132020
Hierarchy-Preserving Formal Verification Methods for Pre-Silicon Security Assurance
YJ Xiaolong Guo, Raj Gautam Dutta
16th International Workshop on Microprocessor and SOC Test and Verification …, 2015
12*2015
PDNPulse: Sensing PCB anomaly with the intrinsic power delivery network
H Zhu, H Shan, D Sullivan, X Guo, Y Jin, X Zhang
IEEE Transactions on Information Forensics and Security, 2023
102023
PCBench: Benchmarking of board-level hardware attacks and trojans
H Zhu, X Guo, Y Jin, X Zhang
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
102021
Automatic RTL-to-Formal Code Converter for IP Security Formal Verification
G Xiaolong, GD Raj, Y Jin
17th International Workshop on Microprocessor and SOC Test and Verification, 2016
10*2016
The system can't perform the operation now. Try again later.
Articles 1–20